SyoSil ApS UVM Scoreboard  1.0.3.0
All Classes Functions Variables Typedefs Pages
cl_syoscb_cfg_pl.svh
1 /// Utility class for capturing the queue names associated with a producer
2 class cl_syoscb_cfg_pl extends uvm_object;
3  //-------------------------------------
4  // Non randomizable member variables
5  //-------------------------------------
6  /// The list of queue names connected to the producer that this _pl represents
7  string list[];
8 
9  //-------------------------------------
10  // UVM Macros
11  //-------------------------------------
12  `uvm_object_utils_begin(cl_syoscb_cfg_pl)
13  `uvm_field_array_string(list, UVM_DEFAULT)
14  `uvm_object_utils_end
15 
16  //-------------------------------------
17  // Constructor
18  //-------------------------------------
19  extern function new(string name = "cl_syoscb_cfg_pl");
20 
21  //-------------------------------------
22  // Class methods
23  //-------------------------------------
24  extern virtual function void set_list(string list[]);
25  extern virtual function bit exists(string queue);
26 endclass: cl_syoscb_cfg_pl
27 
28 function cl_syoscb_cfg_pl::new(string name = "cl_syoscb_cfg_pl");
29  super.new(name);
30 endfunction : new
31 
32 /// Sets the list of queue names associated with a producer
33 function void cl_syoscb_cfg_pl::set_list(string list[]);
34  this.list = list;
35 endfunction: set_list
36 
37 /// Checks whether a given queue is connected to the producer that this object represents
38 /// \param queue The name of the queue to check
39 function bit cl_syoscb_cfg_pl::exists(string queue);
40  string exists_queue[$];
41 
42  exists_queue = this.list.find(x) with (x == queue);
43 
44  return exists_queue.size() == 1 ? 1 : 0;
45 endfunction: exists
string list[]
The list of queue names connected to the producer that this _pl represents.
Utility class for capturing the queue names associated with a producer.
virtual bit exists(string queue)
Checks whether a given queue is connected to the producer that this object represents.
virtual void set_list(string list[])
Sets the list of queue names associated with a producer.

Project: SyoSil ApS UVM Scoreboard, Revision: 1.0.3.0

Copyright 2014-2022 SyoSil ApS
All Rights Reserved Worldwide

Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. You may obtain a copy of the License at

http://www.apache.org/licenses/LICENSE-2.0

Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language governing permissions and limitations under the License.
doxygen
Doxygen Version: 1.8.14
Generated with IDV SV Filter Version: 2.6.3
Fri Sep 2 2022 14:38:57
Find a documentation bug? Report bugs to: scoreboard@syosil.com